AM3352BZCZA100 Microprocessors – MPU ARM Cortex-A8 MPU

Inkcazelo emfutshane:

Abavelisi: Texas Instruments
Udidi lweMveliso: IMicroprocessors – MPU
Ishiti yedatha:AM3352BZCZA100
inkcazelo: IC MPU SITARA 1.0GHZ 324NFBGA
Ubume be-RoHS: Iyahambelana ne-RoHS


Iinkcukacha zeMveliso

Iimbonakalo

Usetyenziso

Iithegi zeMveliso

♠ Inkcazo yeMveliso

Uphawu lweMveliso Ixabiso lophawu
Umenzi: Texas Instruments
Udidi lweMveliso: Microprocessors - MPU
RoHS: Iinkcukacha
Isimbo sokuNqamisa: SMD/SMT
Umqulu/Ityala: PBGA-324
Uthotho: AM3352
Undoqo: I-ARM Cortex A8
Inani leMigoqo: 1 Undoqo
Ububanzi bebhasi yeDatha: 32 bit
Ubuninzi bewotshi yokuphindaphinda: 1 GHz
Imemori yoMyalelo weCache ye-L1: 32 kB
I-L1 Cache Data Memory: 32 kB
Umbane woNikezelo oSebenzayo: 1.325 V
Ubuncinci bobushushu bokusebenza: - 40 C
Obona bushushu bokusebenza: + 125 C
Ukupakishwa: Itreyi
Uphawu: Texas Instruments
Idatha ye-RAM Ubungakanani: 64 kB, 64 kB
Idatha yeROM ubukhulu: 176 kB
Ikhithi yoPhuhliso: TMDXEVM3358
I/O Voltage: 1.8 V, 3.3 V
NONE CAN, Ethernet, I2C, SPI, UART, USB
L2 Cache Umyalelo / iMemori yedatha: 256 kB
Uhlobo Lwenkumbulo: L1/L2/L3 Cache, RAM, ROM
Ukungevani kukufuma: Ewe
Inani lezibali-xesha/izixhobo zokubala: 8 Isibali-xesha
Uthotho lweProsesa: Sitara
Uhlobo lweMveliso: Microprocessors - MPU
Ubungakanani bePakethi yoMzi-mveliso: 126
Uluhlu olungaphantsi: Microprocessors - MPU
Igama loRhwebo: Sitara
Izibali Zexesha: Isibali-xesha seWatchdog
Ubunzima beyunithi: 1.714 g

♠ AM335x Sitara™ Iiprosesa

I-AM335x microprocessors, esekelwe kwiprosesa ye-ARM Cortex-A8, iphuculwe ngomfanekiso, i-graphics processing, i-peripherals kunye ne-industrial interface ye-industrial options ezifana ne-EtherCAT kunye ne-PROFIBUS.Izixhobo zixhasa iinkqubo zokusebenza ezikwinqanaba eliphezulu (HLOS).Iprosesa ye-SDK Linux® kunye ne-TI-RTOS zifumaneka simahla kwi-TI.

I-AM335x microprocessor iqulethe i-subsystems eboniswe kwi-Functional Block Diagram kunye nenkcazo emfutshane nganye elandelayo:

Le iqulathe iinkqubo ezingaphantsi eziboniswe kwiSazobe sokuSebenza esiBhloko kunye nenkcazo emfutshane yale ndlela ilandelayo:

Iyunithi ye-microprocessor (MPU) engaphantsi kwenkqubo isekwe kwiprosesa ye-ARM Cortex-A8 kunye ne-PowerVR SGX™ i-Graphics Accelerator subsystem ibonelela nge-3D yegrafiki yokukhawulezisa ukuxhasa umboniso kunye neziphumo zokudlala.I-PRU-ICSS yahlukile kumbindi we-ARM, ivumela ukusebenza ngokuzimeleyo kunye newotshi ukwenzela ukusebenza kakuhle kunye nokuguquguquka.

I-PRU-ICSS yenza i-interfaces ezongezelelweyo ze-peripheral kunye neeprotocol zexesha langempela ezifana ne-EtherCAT, i-PROFINET, i-EtherNet / IP, i-PROFIBUS, i-Ethernet Powerlink, i-Sercos, kunye nabanye.Ukongezelela, ubume obucwangcisiweyo be-PRU-ICSS, kunye nokufikelela kwayo kwizikhonkwane, iziganeko kunye nazo zonke izixhobo ze-system-on-chip (SoC), zibonelela ngokuguquguquka ekuphumezeni ngokukhawuleza, iimpendulo zexesha lokwenyani, imisebenzi yokuphatha idatha ekhethekileyo, i-interfaces ye-peripheral yesiko. , kunye nokukhuphela imisebenzi evela kwezinye iiprosesa ze-SoC.


  • Ngaphambili:
  • Okulandelayo:

  • • Ukuya kuthi ga kwi-1-GHz Sitara™ ARM® Cortex® -A8 32‑Bit RISC Processor

    -NEON™ SIMD Coprocessor

    – 32KB yomyalelo we-L1 kunye ne-32KB ye-Cache yeDatha ngokuFumana impazamo enye (iParity)

    – 256KB ye-L2 Cache eneKhowudi yokuLungisa impazamo (ECC)

    – 176KB of On-Chip Boot ROM

    -I-64KB ye-RAM eMiselweyo

    – Ukulinganisa kunye noLungiso – JTAG

    -Isiphazamisi soMlawuli (ukuya kuthi ga kwizicelo eziphazamisayo ezili-128)

    • IMemori ekwi-Chip (Kwabelwane nge-L3 RAM)

    – I-64KB yoMlawuli weMemori yeNjongo ngokuBanzi kwiChip (OCMC) RAM

    –Ifikeleleka kuzo zonke iiMasters

    -Ixhasa ukugcinwa kokuVuka ngokukhawuleza

    • IiNdibaniselwano zeMemori yaNgaphandle (EMIF)

    – mDDR(LPDDR), DDR2, DDR3, DDR3L Controller:

    – mDDR: 200-MHz Clock (400-MHz Data Rate)

    – DDR2: 266-MHz Clock (532-MHz Data Rate)

    – DDR3: 400-MHz Clock (800-MHz Data Rate)

    – DDR3L: 400-MHz iwotshi (800-MHz Data Rate)

    – 16-Bit Data Bus

    – 1GB yeNdawo eDityanisiweyo iyonke

    -Ixhasa i-x16 enye okanye ezimbini ii-x8 zeMemori yoLungiso lweSixhobo

    -UMlawuli weMemori yeNjongo ngokubanzi (GPMC)

    -I-Flexible 8-Bit kunye ne-16-Bit ye-Asynchronous Memory Interface Ukuya kuthi ga kwiiKhetho zeChip eziSixhenxe (NAND, NOR, Muxed-NOR, SRAM)

    - Isebenzisa iKhowudi ye-BCH ukuxhasa i-4-, i-8-, okanye i-16-Bit ECC

    -Usebenzisa iKhowudi yeHaming ukuxhasa i-1-Bit ECC

    -Imodyuli yeNdawo yemposiso (ELM)

    -Isetyenziswa ngokubambisana ne-GPMC ukufumana iidilesi zeempazamo zeDatha ezivela kwi-Syndrome Polynomials eveliswa ngokusetyenziswa kwe-algorithm ye-BCH.

    -Ixhasa i-4-, 8-, kunye ne-16-Bit nganye ye-512-Byte yeBlock yempazamo yeNdawo esekwe kwii-algorithms ze-BCH

    • Inkqubo eCwangcisiweyo yeYunithi yeXesha lokwenyani Inkqubo engaphantsi koNxibelelwano lwezoShishino (PRU-ICSS)

    -Ixhasa iiProtocol ezifana ne-EtherCAT®, PROFIBUS, PROFINET, EtherNet/IP™, kunye nokunye

    -IiYunithi ezimbini eziCwangcisiweyo zeXesha lokwenyani (PRUs)

    -I-32-Bit Load/Gcina i-RISC Prosesa ekwaziyo ukubaleka kwi-200 MHz

    -I-8KB yoMyalelo we-RAM ngokuFumana impazamo enye (iParity)

    -I-8KB yeDatha ye-RAM ngokuFumana impazamo enye (iParity)

    -Umjikelo omnye we-32-Bit yokuphindaphinda kunye ne-64-Bit Accumulator

    -Imodyuli ephuculweyo ye-GPIO ibonelela ngenkxaso yeShift ngaphakathi / ngaphandle kunye ne-Parallel Latch kwiSiginali yangaphandle

    -I-12KB ye-RAM ekwabelwana ngayo ngokuFumana impazamo enye (iParity)

    – IiBhanki ezintathu zoBhaliso ze-120-Byte eziFumaneka yi-PRU nganye

    – IsiLawuli sokuPhazamisa (INTC) sokuPhatha iMisitho yokuNgena kweNkqubo

    –Ibhasi yoQhagamshelwano lweNdawo yokuQhagamshela iiMasters zaNgaphakathi nezangaphandle kwiZibonelelo ezingaphakathi kwePRU-ICSS

    – Iiperipherals Ngaphakathi kwePRU-ICSS:

    -Izibuko enye ye-UART enezikhonkwane zokulawula ukuqukuqela, Ixhasa ukuya kuthi ga kwi-12 Mbps

    – Imodyuli enye yokuThatha (eCAP).

    -Iizibuko ezimbini ze-MII ze-Ethernet ezixhasa i-Industrial Ethernet, njenge-EtherCAT

    -Izibuko enye ye-MDIO

    • Amandla, ukuseta kwakhona, kunye noLawulo lweClock (PRCM) Module

    – Ilawula uNgeno kunye nokuPhuma kwiiNdlela zokuLala ngokuNxibelela kunye noBunzulu

    -Inoxanduva lokuSetyenziswa koBubuthongo, ukuSetyenziswa koMbane kwiDomain yokucima, ukulandelelana kokuvuka, kunye nolandelelwano lweDomain yokuLala.

    – Iiwotshi

    -Idityaniselwe i-15- ukuya kwi-35-MHz i-High-Frequency Oscillator eSetyenziselwa ukuvelisa iClock yeReferensi kwiiNkqubo ezahlukeneyo kunye neewotshi ezijikelezayo.

    -Ixhasa iClock yomntu ngamnye Yenza kwaye ukhubaze uLawulo lweeSubsystems kunye neeperipherals ukuququzelela ukuSetyenziswa koMbane okuNcitshisiweyo

    Ii-ADPLL ezintlanu zokuvelisa iiwotshi zeNkqubo (i-MPU Subsystem, i-DDR Interface, i-USB kunye ne-Peripherals [MMC kunye ne-SD, UART, SPI, I 2C], L3, L4, Ethernet, GFX [SGX530], LCD Pixel Clock)

    – Amandla

    -Iindawo ezimbini zaMandla ezingaguqukiyo (Iwotshi yeXesha lokwenyani [RTC], iNgcaciso yokuvuka [VUKA])

    -IiNdawo eziNtathu eziTshintshwayo zaMandla (Isistim esezantsi yeMPU [MPU], SGX530 [GFX], i-Peripherals kunye ne-Infrastructure [PER])

    -Imilisela iSmartReflex™ iKlasi ye-2B yoKukala amandla ombane aPhambili ngokuSekwe kuBubushushu boKufa, ukwahluka kweNkqubo, kunye nokuSebenza (i-Adaptive Voltage Scaling [AVS])

    -Ukwandiswa kweVoltage Frequency Scalling (DVFS)

    •Iwotshi yeXesha lokwenyani (RTC)

    -Ixesha lokwenyani (usuku-inyanga-unyaka-usuku lweveki) kunye nexesha (iiyure-imizuzu-imizuzwana) ulwazi

    -I-Oscillator yangaphakathi ye-32.768-kHz, i-RTC Logic kunye ne-1.1-V yangaphakathi ye-LDO

    – Ukufakwa kwakhona kwamandla okuZimeleyo (RTC_PWRONRSTn)

    -I-Pin yokuFaka eMiselweyo (EXT_WAKEUP) kwiMinyhadala yokuVuka kwaNgaphandle

    -I-Alarm eCwangcisiweyo ingasetyenziselwa ukuvelisa iziphazamiso zangaphakathi kwi-PRCM (yokuvuka) okanye i-Cortex-A8 (yeSaziso soMnyhadala)

    -I-Alarm eCwangcisiweyo ingasetyenziswa kunye nePhulo laNgaphandle (PMIC_POWER_EN) ukwenza i-IC yoLawulo lwaMandla iBuyisele iiNdawo zaMandla ezingezizo ze-RTC

    • Iiperipherals

    Ukuya kuthi ga kwi-USB 2.0 ye-Speed-Speed ​​DRD (Isixhobo esiNxamnye neNgxaki eDityanisiweyo) kwizibuko ezine-PHY eDityanisiweyo

    – Ukuya kuthi ga kwi-Industrial Gigabit Ethernet MACs ezimbini (10, 100, 1000 Mbps)

    –Iswitshi eDityanisiweyo

    -I-MAC nganye ixhasa i-MII, RMII, RGMII, kunye ne-MDIO Interfaces

    -I-Ethernet MACs kunye noTshintsho lunokuSebenzisa ngokuzimeleyo kweminye imisebenzi

    -IEEE 1588v1 iProtocol yeXesha eliChanekileyo (PTP)

    – Ukuya kuthi ga kwiZibuko eziMbini zoLawulo lweNdawo (CAN).

    -Ixhasa i-CAN Version 2 Amacandelo A kunye no-B

    -Ukuya kuthi ga kwiiPorts ezimbini zeAudio eziManyeneyo (McASPs)

    -Hambisa kwaye ufumane iiwotshi ukuya kuthi ga kwi-50 MHz

    – Ukuya kuthi ga kwiZikhonkwane zeDatha ezine zeMcASP ngePort eZimeleyo zeTX kunye neRX

    -Ixhasa i-Time Division Multiplexing (TDM), i-Inter-IC Sound (I2S), kunye neeFomathi ezifanayo

    -Ixhasa iDigital Audio Interface Transmission (SPDIF, IEC60958-1, kunye neeFomati zeAES-3)

    -Izithinteli zeFIFO zokuHambisa kunye nokuFumana (256 Bytes)

    – Ukuya kuthi ga kwii-UART ezintandathu

    -Zonke ii-UARTs zixhasa i-IrDA kunye neendlela ze-CIR

    -Zonke ii-UART zixhasa i-RTS kunye ne-CTS Flow Control

    – UART1 Ixhasa uLawulo lweModem epheleleyo

    -Ukuya kuthi ga kwiiNdlela ezimbini zoMsebenzi weMcSPI kunye neMcSPI

    – Ukuya kuthi ga kuKhetho lweChip ezimbini

    Ukuya kuthi ga kwi-48 MHz

    – Ukuya kuthi ga kwi-MMC eziNtathu, i-SD, izibuko ze-SDIO

    - 1-, 4- kunye ne-8-Bit MMC, SD, Iindlela ze-SDIO

    – I-MMCSD0 ineSiporo saMandla esiNikeleyo se-1.8‑V okanye i-3.3-V yokusebenza

    – Ukuya kuthi ga kwi-48-MHz ireyithi yokuGqithisela iDatha

    -Ixhasa ukufunyanwa kweKhadi kunye nokuBhala ukuKhusela

    – Ithobelana neMMC4.3, SD, SDIO 2.0 Iinkcukacha

    – Ukuya kuthi ga kwiNtathu I 2C Master kunye neSlave Interfaces

    -Imo esemgangathweni (ukuya kuthi ga kwi-100 kHz)

    -Imowudi ekhawulezayo (ukuya kuthi ga kwi-400 kHz)

    – Ukuya kuthi ga kwiiBhanki ezine zeNjongo Jikelele ye-I/O (GPIO) Izikhonkwane

    -Izikhonkwane zeGPIO ezingama-32 ngeBhanki nganye (Ziphindaphindwe nezinye izikhonkwane eziSebenzayo)

    -Izikhonkwane zeGPIO zingasetyenziswa njengamaFakelo aPhazamileyo (ukuya kuthi ga kwiiNgeniso eziPhakamileyo ezimbini ngeBhanki nganye)

    – Ukuya kuthi ga kwiZihlomelo zeMsitho zeDMA eziNtathu zaNgaphandle ezinokuthi ziSetyenziselwe kwakhona njengeeNgeniso eziphazamisayo

    -Izibali-xesha ezisibhozo ze-32-Bit General-Purpose

    – I-DMTIMER1 sisibali-xesha se-1-ms esiSetyenziselwe iNkqubo yokuSebenza (i-OS) Amanqaku

    – DMTIMER4–DMTIMER7 ziPintshulwe ngaphandle

    -Isibali-xesha esinye seWatchdog

    – SGX530 3D Graphics Injini

    -Uyilo oluSekwe kwiThayile oluNika ukuya kuthi ga kwi-20 yezigidi zeePolygons ngeSibini

    -Injini ye-Shader ye-Universal Scalable (i-USSE) yiNjini ephindwe kaninzi eBandakanyiweyo kwiPixel kunye neVertex Shader Functionality.

    -UMsebenzi weShader okwiNgcaciso eMiselweyo kuMgqithi weMicrosoft VS3.0, PS3.0, kunye ne-OGL2.0

    -Inkxaso ye-API yoMgangatho weShishini we-Direct3D Mobile, i-OGL-ES 1.1 kunye ne-2.0, kunye ne-OpenMax

    -UkuTshintsho lweMisebenzi eQinisekisiweyo, ukuLinganisa uMlayisho, kunye noLawulo lwaMandla

    -IJomethri ekwiNgxaki ePhambili yeDMA-ISebenzi eliqhutywayo kubuncinci bokuDibana kweCPU

    – Programmable High-Umgangatho ophezulu Anti-Aliasing

    -Idilesi yeMemori eQhelekileyo ngokuPheleleyo kwi-OS Operation kwiMemori eManyeneyo yoLwakhiwo

    • Ii-peripherals zeMidlalo

    • UkuziSebenzisa kweKhaya noShishino

    • Izixhobo zoNyango zaBathengi

    • Abashicileli

    • IiNkqubo zokuRhafisa ngobuchule

    • Oomatshini abaDityanisiweyo

    • Izikali zokuWayisha

    • IiConsoles zeMfundo

    • Izinto zokudlala ezikwinqanaba eliphezulu

    Iimveliso ezinxulumeneyo